搜索[并行加法器],共找到1个文章
山东大学电子设计自动化(EDA)硬件实验-实验3 并行加法器设计-行而思雨

山东大学电子设计自动化(EDA)硬件实验-实验3 并行加法器设计

一:  实验目的 1.设计一个 4 位加法器。 2.体会用 HDL 进行逻辑描述的优点。 3.熟悉层次化设计方法。 二:  实验仪器与器材 1.EDA 开发软件. 2.微机 3.实验开发系统 4.打印机 5...
福儿fule的头像-行而思雨福儿fule4年前
06510